Aldec @ DAC 2020: Presenting RISC-V Verification Methodologies and SolutionsDate: Jul 15, 2020 Type: ReleaseHenderson, NV – July 15, 2020 – Aldec, Inc., a pioneer in mixed HDL language simulation and hardware-assisted verification for FPGA and SoC designs, is presenting RISC-V Verification Methodologies and Solutions at the 2020 Virtual Design Automation Conference (DAC) on July 20-24, 2020. “As the whole world deals with the first year’s impact of COVID-19, we remained focused on our goals and prepared forward looking verification solutions for the biggest stage of the Electronic Design Automation (EDA) industry,” said Louie De Luna, Director of Marketing. “The EDA has been a huge cornerstone of the digital revolution and technological progress we have achieved as a society during these past few decades, and we are honored to have been a pioneer since 1984. Within the next decade amid the pandemic, the EDA and semiconductor industry face an even greater undertaking to enable IoT, 5G and AI as they become more intertwined with our society to improve our daily lives. A transformation in CPU design and architecture is needed to support a diverse set of future computational workloads, and we believe that a free and open-source Instruction Set Architecture (ISA) can be a solid stepping stone to achieve this transformation. In this year’s DAC, we are officially joining the RISC-V revolution showcasing our hardware verification technologies in the areas of RTL simulation, static verification, FPGA-based emulation and prototyping. Aldec’s verification technology will play a critical role in enabling the industry verify RISC-V based designs efficiently with the highest degree of accuracy.” Demonstrations all week The following presentations will be offered continuously throughout the three main days of DAC – July 20, 21 and 22 from 10:30 AM – 1:30 PM US Pacific Time Zone. Each presentation will last about 30 minutes and interested parties are advised to pre-register and select their preferred subject matter and to secure their preferred date and time slot. Integrated UVM Simulation Environment for Verifying Custom Instructions with RISC-V Cores Read more By integrating Aldec’s Riviera-PRO™ with Codasip’s Studio™, verification of RISC-V CPU custom instructions at the RTL implementation level becomes an incredibly powerful platform for RISC-V processor deployment. In this presentation, we will show in Studio, how users can describe the RISC-V architecture and add custom instructions using CodAL high level language, modify the pipeline, configure random instruction generator, auto-generate the HDK, SDK, RTL implementation and C++ reference model and UVM environment, start RTL simulation, setup breakpoints and debug. We will then show in Riviera-PRO, how users can run RTL simulation and debug applications and core architecture, inspect simulation waveforms, use the UVM Graph & Toolbox to view the graphical representation of the UVM components, objects and the transaction level modeling (TLM) connections between them, giving the user an overall perspective of the testbench architecture and the dataflow. We will also show how you can collect and analyze both functional coverage and code coverage. UVM Simulation-based environment for Ibex RISC-V CPU core with Google RISC-V DV Read more This presentation showcases the simulation of the Ibex core, which is a 2-stage in-order 32b RISC-V processor core. It has been designed to be small and efficient. The simulation of the SV/UVM testbench for verification of the Ibex core uses Riviera-PRO. This SV/UVM testbench uses the open source RISCV-DV random instruction generator, which generates compiled instruction binaries. We then load these binaries into a simple memory model which then stimulates the Ibex core in Riviera-PRO to run this program in that memory. We then compares the ibex core simulation trace log against a golden model generated by an Instruction Set Simulator (ISS) trace log to check for correctness of execution. The testbench is created based on its usage of the RISCV-DV random instruction generator developed by Google. There are two memory interface agents that are instantiated within the testbench, one for the instruction fetch interface, and the second for the Load-Store Unit (LSU) interface. These agents which run the slave sequences wait for memory requests from the core, and then grant the requests for instructions and data. There is also an Interrupt Interface Agent which is used to drive stimulus onto the Ibex core’s interrupt pins randomly during test execution. The testbench instantiates a single instance of the memory model that it loads the compiled assembly test program into at the beginning of each test. This serves as a unified instruction/data memory that processes all requests from both of the memory interface agents. The tests located in the Tests and Sequence Library are the main sources of external stimulus generation and checking for this testbench, as the memory interface slave sequences simply serve the core’s memory requests.The tests are all extended from core_ibex_base_test, and manage the entire flow for a single test, from loading the compiled assembly binary program into the testbench memory model, to checking the Ibex core status while the test is running and handling test timeouts. The sequences here are used to drive interrupt and debug stimulus into the core.The goal of this testbench is to fully verify the Ibex core with 100% coverage. Riviera-PRO can be used to examine the coverage results, visualize the UVM environment and aide in the debug of the verification environment. Static Verification for RISC-V Cores and SoCs Read more The entire processor industry is currently going through a paradigm shift - new generations of domain-specific proprietary processor cores based on the open-source RISC-V ISA are now being developed by various industry-leading semiconductor companies. Additionally, open-source RISC-V processor cores such as SweRV, Ibex and Pulp are now available, and they are actively being developed in various open-source Github communities. Static verification or linting is a standard part of the tool flow for any processor-based designs to help engineers develop highly robust code in both IP and SoC levels. Static linting based on industry-best practice coding standards are critical in ensuring best-practice coding styles, efficient synthesis and timing closure, avoid simulation-to-synthesis mismatches, and proper usage of SystemVerilog constructs and data types. In this presentation, we will demonstrate how to statically verify RISC-V IP designs with the new ALINT-PRO RISC-V ruleset. RISC-V Design & Verification with FPGA Hardware In The Loop Read more The RISC-V ISA has opened tremendous opportunities creating a breeze of fresh air in the ARM dominated design houses of embedded SoC projects. We didn’t have to wait long until the first RTL implementations of the RISC-V processor were started (both open source and commercial). Currently there are several open source projects of RISC-V CPU cores. There is however a verification gap between the open source fabless design and the ones that are intended to be taped out. The HDL/RTL simulation that works well for research and open source projects is not sufficient in case of huge investments in chip fabrication where designs must be verified exhaustively. In this session we will present how FPGA hardware-assisted verification such as simulation acceleration, emulation and prototyping can be used at different verification stages to bridge the verification gap, increase functional test coverage and enable true hardware-software co-verification of RISC-V cores and SoCs. PCIe 5.0 IP + VIP UVM Simulation Environment Read more Together with Aldec, PLDA and Avery Design Systems, we will present and demo our newest PCIe 5.0 IP + VIP UVM simulation and debugging environment. PLDA’s PCIE 5.0 XpressRich includes internal datapath automatic scaling, configurable pipelining, Rx stream mode for custom credit management, L1 PM substates, dynamically adjustable application clock frequency and clock/power gating. Avery’s APCIe-Xactor includes best-in-class Verification IP for PCIe GEN5, native SystemVerilog and UVM support, native randomization, layer wise protocol and debug tracker and 35+ callbacks for error injection. In Aldec’s Riviera-PRO you can run RTL simulation and debug, visualize simulation waveforms, view the graphical representation of the UVM components, objects and the transaction level modeling (TLM) connections, and as well as use code coverage to analyze the efficiency of the UVM tests for exercising various parts of the RTL code. System-on-Chip Design In-Circuit Emulation with External PCI Express Devices Read more Hardware assisted verification became much more affordable due to the availability of high capacity FPGAs (like Xilinx Virtex UltraScale US440) and their adoption for emulation verification environments in Aldec’s HES-DVM. One of the advantages of FPGA-based emulation is that it’s much more flexible than traditional processor-based when it comes to connecting external peripherals. In this presentation we will demonstrate how to take advantage of the FPGA platform to build the PCI Express speed adapter and connect the emulated System-on-Chip design with the external PCIe-based Network Interface Card that runs at its target speed and provides connection of the SoC to the real LAN network traffic. Contact marcom@aldec.com or call +1(702) 990-4400 for more details. About DAC The Design Automation Conference (DAC) is recognized as the premier event for the design of electronic circuits and systems, and for electronic design automation (EDA) and silicon solutions. A diverse worldwide community of more than 1,000 organizations attends each year, represented by system designers and architects, logic and circuit designers, validation engineers, CAD managers, senior managers and executives as well as researchers and academicians from leading universities. Close to 60 technical sessions selected by a committee of electronic design experts offer information on recent developments and trends, management practices and new products, methodologies and technologies. A highlight of DAC is its exhibition and suite area, with approximately 200 of the leading and emerging EDA, silicon, and intellectual property (IP) companies and design services providers. The conference is sponsored by the Association for Computing Machinery (ACM), the Electronic System Design Alliance (ESDA), and the Institute of Electrical and Electronics Engineers (IEEE), and is supported by ACM's Special Interest Group on Design. www.dac.com About Aldec Established in 1984, Aldec is an industry leader in Electronic Design Verification and offers a patented technology suite including: RTL Design, RTL Simulators, Hardware-Assisted Verification, SoC and ASIC Prototyping, Design Rule Checking, CDC Verification, IP Cores, High-Performance Computing Platforms, Embedded Development Systems, Requirements Lifecycle Management, DO-254 Functional Verification and Military/Aerospace solutions. www.aldec.com